Index

_ | A | B | C | D | E | F | G | H | I | J | O | Q | R | S | T | V | Y | Z

_

_set_jfif_coefs() (jpegenc.subblocks.color_converters.rgb2ycbcr.ColorSpace method), [1]

A

ac_cr_rom (in module jpegenc.subblocks.huffman.ac_cr_rom)
ac_rom (in module jpegenc.subblocks.huffman.ac_rom)

B

backend (in module jpegenc.subblocks.backend.backend)
backend_ref() (in module jpegenc.subblocks.backend.backend_soft)
backend_soft() (in module test.test_backend)
bit_length() (in module jpegenc.subblocks.rle.entropycoder)
BScntrl (class in jpegenc.subblocks.bytestuffer.bytestuffer)
BSInputDataStream (class in jpegenc.subblocks.bytestuffer.bytestuffer)
BSOutputDataStream (class in jpegenc.subblocks.bytestuffer.bytestuffer)
BufferDataBus (class in jpegenc.subblocks.rle.rle)
build_huffman_rom_tables() (in module jpegenc.subblocks.backend.backend_soft)
(in module jpegenc.subblocks.huffman.tablebuilder)
(in module jpegenc.subblocks.quantizer.quant_rom)
build_matrix() (jpegenc.subblocks.dct.dct_1d.dct_1d_transformation method)
(jpegenc.subblocks.dct.dct_2d.dct_2d_transformation method)
build_rom_tables() (in module jpegenc.subblocks.backend.backend_soft)
build_zig_zag_matrix() (jpegenc.subblocks.zig_zag.zig_zag_scan method)
bytestuffer (in module jpegenc.subblocks.bytestuffer.bytestuffer)
bytestuffer() (in module jpegenc.subblocks.backend.backend_soft)

C

ColorSpace (class in jpegenc.subblocks.color_converters.rgb2ycbcr), [1]
Component (class in jpegenc.subblocks.rle.rlecore)

D

DataStream (class in jpegenc.subblocks.rle.rlecore)
dc_cr_rom (in module jpegenc.subblocks.huffman.dc_cr_rom)
dc_rom (in module jpegenc.subblocks.huffman.dc_rom)
dct_1d (in module jpegenc.subblocks.dct.dct_1d)
dct_1d_transformation (class in jpegenc.subblocks.dct.dct_1d)
dct_1d_transformation() (jpegenc.subblocks.dct.dct_1d.dct_1d_transformation method)
dct_2d (in module jpegenc.subblocks.dct.dct_2d)
dct_2d_transformation (class in jpegenc.subblocks.dct.dct_2d)
dct_2d_transformation() (jpegenc.subblocks.dct.dct_2d.dct_2d_transformation method)
dct_int_coeffs() (jpegenc.subblocks.dct.dct_1d.dct_1d_transformation method)
divider (in module jpegenc.subblocks.quantizer.divider)
divider() (in module jpegenc.subblocks.backend.backend_soft)
divider_ref() (in module jpegenc.subblocks.backend.backend_soft)
(in module jpegenc.subblocks.quantizer.divider)
doublefifo (in module jpegenc.subblocks.huffman.doublebuffer)
(in module jpegenc.subblocks.rle.doublebuffer)
dram (in module jpegenc.subblocks.backend.dualram)

E

entropy_encode() (in module jpegenc.subblocks.backend.backend_soft)
(in module jpegenc.subblocks.rle.entropycoder)
entropycoder (in module jpegenc.subblocks.rle.entropycoder)

F

frontend_top_level_v2 (in module jpegenc.subblocks.frontend.frontend_v2)
frontend_transform (class in jpegenc.subblocks.frontend.frontend_v2)

G

get_jfif_ycbcr() (jpegenc.subblocks.color_converters.rgb2ycbcr.ColorSpace method), [1]
get_jfif_ycbcr_int_coef() (jpegenc.subblocks.color_converters.rgb2ycbcr.ColorSpace method), [1]
get_rom_tables() (test.test_dct_1d.InputsAndOutputs method)
(test.test_dct_2d.InputsAndOutputs method)
(test.test_frontend_v2.InputsAndOutputs method)
(test.test_rgb2ycbcr.InputsAndOutputs method)
(test.test_rgb2ycbcr_v2.InputsAndOutputs method)
(test.test_zig_zag.InputsAndOutputs method)

H

HuffBufferDataBus (class in jpegenc.subblocks.huffman.huffman)
huffman (in module jpegenc.subblocks.huffman.huffman)
huffman_final() (in module jpegenc.subblocks.backend.backend_soft)
huffman_ref() (in module jpegenc.subblocks.backend.backend_soft)
HuffmanCntrl (class in jpegenc.subblocks.huffman.huffman)
HuffmanDataStream (class in jpegenc.subblocks.huffman.huffman)

I

ImgSize (class in jpegenc.subblocks.huffman.huffman)
initialize() (test.test_dct_1d.InputsAndOutputs method)
(test.test_dct_2d.InputsAndOutputs method)
(test.test_frontend_v2.InputsAndOutputs method)
(test.test_rgb2ycbcr.InputsAndOutputs method)
(test.test_rgb2ycbcr_v2.InputsAndOutputs method)
(test.test_zig_zag.InputsAndOutputs method)
input_1d_1st_stage (class in jpegenc.subblocks.common)
input_interface (class in jpegenc.subblocks.common)
inputs_frontend_new (class in jpegenc.subblocks.common)
InputsAndOutputs (class in test.test_dct_1d)
(class in test.test_dct_2d)
(class in test.test_frontend_v2)
(class in test.test_rgb2ycbcr)
(class in test.test_rgb2ycbcr_v2)
(class in test.test_zig_zag)

J

jpegenc.subblocks.backend.backend (module)
jpegenc.subblocks.backend.backend_soft (module)
jpegenc.subblocks.backend.dualram (module)
jpegenc.subblocks.bytestuffer.bytestuffer (module)
jpegenc.subblocks.color_converters.rgb2ycbcr (module)
jpegenc.subblocks.color_converters.rgb2ycbcr_v2 (module)
jpegenc.subblocks.dct.dct_1d (module)
jpegenc.subblocks.dct.dct_2d (module)
jpegenc.subblocks.frontend.frontend_v2 (module)
jpegenc.subblocks.huffman.ac_cr_rom (module)
jpegenc.subblocks.huffman.ac_rom (module)
jpegenc.subblocks.huffman.dc_cr_rom (module)
jpegenc.subblocks.huffman.dc_rom (module)
jpegenc.subblocks.huffman.doublebuffer (module)
jpegenc.subblocks.huffman.huffman (module)
jpegenc.subblocks.huffman.tablebuilder (module)
jpegenc.subblocks.quantizer.divider (module)
jpegenc.subblocks.quantizer.quant_rom (module)
jpegenc.subblocks.quantizer.quantizer (module)
jpegenc.subblocks.quantizer.quantizer_core (module)
jpegenc.subblocks.quantizer.ramz (module)
jpegenc.subblocks.quantizer.romr (module)
jpegenc.subblocks.rle.doublebuffer (module)
jpegenc.subblocks.rle.entropycoder (module)
jpegenc.subblocks.rle.rle (module)
jpegenc.subblocks.rle.rlecore (module)
jpegenc.subblocks.zig_zag.zig_zag (module)

O

out_print() (in module test.test_dct_1d)
(in module test.test_dct_2d)
output_interface (class in jpegenc.subblocks.common)
outputs_2d (class in jpegenc.subblocks.common), [1], [2]
outputs_frontend_new (class in jpegenc.subblocks.common)

Q

quant_rom (in module jpegenc.subblocks.quantizer.quant_rom)
QuantCtrl (class in jpegenc.subblocks.quantizer.quantizer)
QuantDataStream (class in jpegenc.subblocks.quantizer.quantizer_core)
QuantIODataStream (class in jpegenc.subblocks.quantizer.quantizer)
quantizer (in module jpegenc.subblocks.quantizer.quantizer)
quantizer_core (in module jpegenc.subblocks.quantizer.quantizer_core)

R

ramz (in module jpegenc.subblocks.quantizer.ramz)
random_matrix() (test.test_frontend_v2.InputsAndOutputs method)
random_matrix_8_8() (test.test_dct_2d.InputsAndOutputs method)
RGB (class in jpegenc.subblocks.common)
rgb2ycbcr (in module jpegenc.subblocks.color_converters.rgb2ycbcr)
rgb2ycbcr_v2 (in module jpegenc.subblocks.color_converters.rgb2ycbcr_v2)
rle (in module jpegenc.subblocks.rle.rlecore)
RLEConfig (class in jpegenc.subblocks.rle.rlecore)
rlencoder (in module jpegenc.subblocks.rle.rle)
RLESymbols (class in jpegenc.subblocks.rle.rlecore)
romr (in module jpegenc.subblocks.quantizer.romr)
runlength() (in module jpegenc.subblocks.backend.backend_soft)

S

sub() (in module jpegenc.subblocks.rle.rlecore)

T

table_huff_gen() (in module jpegenc.subblocks.backend.backend_soft)
test.test_backend (module)
test.test_bytestuffer (module)
test.test_dct_1d (module)
test.test_dct_2d (module)
test.test_divider (module)
test.test_entropycoder (module)
test.test_frontend_v2 (module)
test.test_huffdoublebuffer (module)
test.test_rgb2ycbcr (module)
test.test_rgb2ycbcr_v2 (module)
test.test_rledoublebuffer (module)
test.test_zig_zag (module)
test_backend() (in module test.test_backend)
test_backend_conversion() (in module test.test_backend)
test_block_conversion() (in module test.test_bytestuffer)
(in module test.test_divider)
(in module test.test_entropycoder)
(in module test.test_rgb2ycbcr)
test_bytestuffer() (in module test.test_bytestuffer)
test_color_translation() (in module test.test_rgb2ycbcr)
test_color_translation_v2() (in module test.test_rgb2ycbcr_v2)
test_dct_1d() (in module test.test_dct_1d)
test_dct_2d() (in module test.test_dct_2d)
test_divider() (in module test.test_divider)
test_doublebuffer() (in module test.test_huffdoublebuffer)
(in module test.test_rledoublebuffer)
test_doublebuffer_conversion() (in module test.test_huffdoublebuffer)
(in module test.test_rledoublebuffer)
test_entropycoder() (in module test.test_entropycoder)
test_frontend() (in module test.test_frontend_v2)
test_frontend_conversion() (in module test.test_frontend_v2)
test_zig_zag() (in module test.test_zig_zag)
test_zig_zag_conversion() (in module test.test_zig_zag)
tuple_construct() (in module jpegenc.subblocks.dct.dct_1d)
two2bin() (in module jpegenc.subblocks.rle.entropycoder)

V

VLControl (class in jpegenc.subblocks.huffman.huffman)

Y

YCbCr (class in jpegenc.subblocks.common)
YCbCr_v2 (class in jpegenc.subblocks.common)

Z

zig_zag (in module jpegenc.subblocks.zig_zag.zig_zag)
zig_zag() (jpegenc.subblocks.zig_zag.zig_zag_scan method)
zig_zag_scan (class in jpegenc.subblocks.zig_zag)